Assalamu'alaikum

Sabtu, 22 Oktober 2011

Cara menginstal “XILINX”.

Hai ..Pembaca sekalian ..saya sedikit ingin memberitahu ni. Cara menginstal XILINX.

nah langsung saja yah, Adapun caranya sebagai berikut :

1.Pastinya sobat pembaca harus memiliki mentahan Xilinx tersebut.kemudian anda telah menyalakan PC atau Laptop atau sejenisnya deh.Nah setelah itu Siram deh pakai Bensin kemudian bakar ..selesai Sudah....hehehehehhehehe.( jangan ditiru hanya bercanda sobat pembaca). Cara yang bener ya itu langsung saja instal Xilinx tersebut,dengan meng klik setup 2x.seperti gambar di bawah ini.



2.Setelah anda setup nantinya akan keluar gambar seperti ini. Namun anda harus sabar untuk menunggu sehinggga nantinya akan mengeluarkan perintah selanjutnya .


3.Nah ini dia yang saya maksud.ketika tampil seperti ini anda klik ajah Next.


4.setelah itu anda di haruskan memasukkan Serial Numbernya , biasanya ada didalam mentahan tersebut, setelah anda masukkan Serial numbernya anda klik lagi Next, Sperti ini nih.



5.Tandai terlebih dahulu kotak kecil yang ada di gudang sobat pembaca ..hehehehe...chanda ..santai aja .maksudnya tanda kotak kecil yang ada di perintah tersebut .biasanya ada di bagan bawah .setelah itu Next deh. Nih gambar nye.



6. sama seperti yang di atas . accept terlebih dahulu terus Next deh.sperti ini gambarnya



7. Sama juga seperti yang atas kemudian Next . seperti gambar ini.



8.Tinggal Next . seperti gambar ini.



9.Next lagi Deh..kira2 bosen ga ... santai aja Bro. Ok. Lanjut deh.



10. Next lagi . santai sob . ye. Seperti gambar ini ni.



11. Nah ini perlu anda ketahui .. agar instalasi anda bisa berlanjut tanpa meminta update saat anda menginstal sehingga membuat anda malas menunggu lama. Apalagi saat pc atau laptop anda dalam keadaan tidak terconnection pada internet . nantinya bakal gagal deh.
anda tinggal menghilangkan tanda cek list pada kotak kecil yang ada di tengah2. Seperti gambar ini nih.



12. Tinggal Install . seperti gambar Inih.



13. Kemudian Tunggu beberapa menit atau Ngopi dulu deh .. atau nyantai ngapain aja sih boleh..terserah anda yah.



14. Setelah proses semua sudah sesuai nantinya akan keluar gambar seperti di bawah ini dan anda tandai kotak kecil yang bertulisanseperti ini. Always Trust sofware from “ xilinx”

Jangan lupa install jangan bengong ye.



15. Bosen yah ..capek yah. Sabar bro ..ini juga yang terakhir ,.jadi santai ajah. Setelah semuanya terlewati dengan baik dan pas sasaran ( seperti lagi nembak ajah ) ..hehehehe..

Nanti akan nongol gambar sperti ini yah ,..anda jangan lupa klik OK.



17. Selesai deh... nah kopi anda juga habiskan.. heehehe..
ohya jangan lupa juga setelah anda slsai menginstalasi “xilinx “ ini anda Restart dulu deh Pc atau laptop anda . agar mampu bekerja lebih maksimal. Karena “lumayan sering sih ERROR” heehee


Catatan : Buat pembaca sekalian . maaf yah . saya terlalu banyak bercanda. Tapi itulah saya.
santai aja yah. Jangan di masukin hati . kantong aja masukin nya hehheehe.

Selamat Mencoba. Good luck”


Rabu, 12 Oktober 2011

Pengetahuan Saya Tentang Ilmu Fpga

Hai... pembaca kamu tahu tidak sih apa itu Fpga. dan apa kelebihan Fpga di banding yang lainnya.!!


sedikit saya akan menjelaskan , sesuai dengan apa yang saya dapat dari seorang dosen saya.
yang mana FPGA ( Field Programmable Gate Array ) itu adalah Sebuah rangkaian atau bisa di sebut dengan Chip Ic yang memiliki interferensi atau gerbang - gerbang logika yang terprogram yang saling berhubungan antara gerbang yang satunya dengan gerbang logika yang lainnya yang terdapat pada Fpga tersebut dan dapat di program berulang ulang oleh user ataupun pengguna Fpga tersebut.

Nah disini saya akan menjelaskan sifat atau keunggulan dari FPGA tersebut:


1. Dapat disusun atau di program berulang ulang. Jadi intinya, program yang telah kita buat dalam FPGA bisa kita ubah atau kita edit kembali.

2. FPGA bersifat dapat didownload berulang-ulang tanpa batas. Inilah kelebihan FPGA yang paling dikenal. Jadi kita bisa menimpa program lama dengan program baru yang sudah kita edit.

3. Bersifat volatile. FPGA ini bersifat volatile, Nah maka dari itu untuk menyimpan datanya, FPGA harus terus diberi daya atau arus listrik. Bila kehilangan daya pada saat ingin mendownload, maka datanya pun bisa hilang dan kerja kita jadi sia-sia dong, maka dari itu usahakan arus listrik tetap menyala.

4. Hampir semua rangkaian terimplementasi didalam chip IC. FPGA terdiri dari banyak CLB (Combinational Logic Block) yang bisa menyimpan rangkaian-rangkaian logika dalam setiap blocknya. Jadi dalam satu chip bisa tertanam banyak rangkaian digital yang telah terprogram.

5. Lebih murah walaupun dalam produksi kecil dan lebih cepat dalam hal mendesign rangkaian.

Adapun isi dalam satu chip FPGA yaitu:



Gambar 01 . chip pada FPGA

Funsi dari masing masing ini adalah :

1. CLB (combinational Logic Block )

Berfungsi sebagai menghasilkan element fungsional untuk menyusun rangkaian logika yang diinginkan


2. PI ( Programmable interconnection )

Penghubung antar CLB yang satu dengan yang lain

3. RAM BLOCK Sebagai ram untuk menyimpan data yang di buat oleh para user

4. Input output Block

Sebagai antar mukan ( Interface ) antar pin- pin terminal chip dengan kawat penghubung yangada pada Chip IC.


Isi pada CLB (combinational Logic Block ) adalah :


Gambar02 . isi pada CLB

a. LUT (Look Up Table)
Sejenis RAM yang berkapasitas kecil. Dalam FPGA, LUT berperan penting dalam proses implementasi fungsi-fungsi logika. LUT berciri khas mempunyai 4 buah inputan.
b. D-Flip Flop
Merupakan jenis flip-flop (Bistable Multivibrator) dalah suatu rangkaian sel biner yang memiliki dua buah output yang saling berkebalikan keadaannya (0 atau 1). Di dalam FPGA, terdapat sebuah jenis flip-flop yaitu D flip-flop atau Data flip flop. Rangkaian D flip-flop ini berfungsi sebagai rangkaian logika sekuensial dimana di dalamnya terdapat peralatan memori dan pewaktu.
c. Multiplekser 2 ke 1
Sebuah multipleser adalah piranti digital yang bekerja sebagai switch (saklar) yang menghubungkan data dari n masukkan ke sebuah keluaran. Multiplekser berfungsi untuk memilih beberapa input untuk hanya menjadi 1 output saja. Di dalam FPGA, terdapat rangkaian multiplekser 2 ke 1 yang artinya, multiplekser tersebut memiliki 2 buah input dan 1 buah output.

Isi Dari LUT (Look Up Table)
LUT yang terdapat pada setiap CLB, terbagi lagi misinya menjadi 4 slices. Masing-masing slice berisi Shift Register, RAM, dan informasi input.


Nah para pembaca sekalian ini nih materi terakhir yang saya dapat dari dosen saya.
yaitu tentang Alur perancangan pada FPGA.

Alur perancangan pada FPGA.



Gambar 03 . Alur pada FPGA

1. Design Entry
Bisa dalam bentuk text yaitu bahasa pemrograman VHDL (Very High Speed Integrated Hardware Description Language), maupun dalam bentuk shematic capture berupa gambar-gambar simbol gerbang logika yang disatukan sehingga menjadi rangkaian yang utuh.

2. Logic Implementation (Gabungan dari Merge & Transalate dan Optimize Equation)
untuk mengoperasikan bilangan boolean untuk mengoptimalkan penggunaan logic.

3. Mapping
Transformasi ekspresi boolean ke dalam bentuk circuit pada FPGA logic block.

4. Placement
Setelah mapping, dilakukan penempatan algoritma yang digunakan ke masing-masing block.

5. Routing Software
Mengatur programmable interconnect / wire segment dan menentukan programmable switch untuk menghubungkan antar block.

6. Programming Unit
Mengkonfigurasi setelah placement dan routing tuntas.

Nah teman - teman sekedar catatan nih :

bahwa semua FPGA buatan Xilinx bersifat volatile (membutuhkan ROM eksternal) dan menggunakan SRAM untuk menyimpan interkoneksi sehingga bersifat reprogrammable. Di sisi lain, FPGA buatan Actel bersifat non-volatile(menggunakan antifuse) dan bersifat non-reprogrammable
(kecuali keluarga jenis tertentu yang mengunakan memory flash).

Kamis, 06 Oktober 2011

Sebuah Kisah nyata

Pada suatu hari ada sebuah keluarga Yang sederhana...

Diceritakan di dalam kisah ini kisah seorang istri yang pemalas.

Ceritanya Sbb:

Emng Dasar istri pemalas......tapi kenapalah ada orang yang mw sama dia.......dikasih bulanan minta banyak tapi ga tau buat kmna..?

masak hanya pagi itupun antah apapun yg di masak..Sehingga orang2 yg dirumah ituh tidak memiliki nafsu untuk makan....sepertinya dia tidak pernah mnganggap ada orang lain yang hidup serumah dengannya...!!!! ( sepertinya)

dah begitu minta pakai pembantu. Atau bahasa gaulnya ..pembokat..tapi aneh buat bayar pembantu minta lagi.....

mw blanja rumah tanggga juga mnta lagi...lagi2 suami di bodohin...buhsyet dah....knpa bisa begitu...ya allah bukakanlah hati suami2 yg ber istrikan seperti ituh...yang ga pernah mnghargai hasil kringat suami....

suami bela2in kerja sampai pulang larut malam...bukannya di sediakan makanan malah dia yg minta makanan.....kalau 1x kali ga apa2 inih...nauzubillahiminzalik...setiap malam mnta di beliin....jadi uang makan blanja yg diberikan di buat kmna...

istri taunya hanya BBM an dirumah....

suami Mampus2an di luaran cari makan buat kluarga....... bahkan mmpertaruhkan hidup matinya untuk kluarga.....nauzubillah.....jauhkanlah kluargaq dari istri2 yg seperti itu.....aminnn

FAKTA KEANEKARAGAMAN BAHASA INDONESIA

Sebuah fakta - fakta bahwasanya bahasa di indonesia itu beraneka ragam , sebagai mana yang terlihat dengan jelas yaitu Bahasa Indonesia adalah bahasa resmi Republik Indonesia (RI) sebagaimana disebutkan dalam Undang-Undang Dasar 1945, Pasal 36. Ia juga merupakan bahasa persatuan bangsa Indonesia sebagaimana disiratkan dalam Sumpah Pemuda 28 Oktober 1928. Meski demikian, ia hanya sebagian kecil dari penduduk Indonesia yang benar-benar menggunakannya sebagai bahasa ibunda karena dalam percakapan sehari-hari yang tidak resmi, masyarakat Indonesia lebih suka menggunakan bahasa daerahnya masing-masing sebagai bahasa ibunda seperti bahasa Melayu pasar, bahasa Jawa, bahasa Sunda, bahasa Minangkabau, bahasa Batak, bahasa Aceh, bahasa Bugis dan lain sebagainya.

Untuk sebagian besar lainnya, bahasa Indonesia adalah bahasa kedua dan untuk taraf resmi bahasa Indonesia adalah bahasa pertama. Bahasa Indonesia ialah sebuah perkataan ataupun pembicaraan dari bahasa Melayu yang menjadi bahasa resmi Indonesia sejak pengisytiharan kemerdekaan Indonesia tahun 1945. Bahasa Indonesia merupakan bahasa dinamis yang hingga sekarang terus menghasilkan kata-kata baru, baik melalui penciptaan, maupun penyerapan dari bahasa daerah dan asing.

Bahasa Indonesia adalah perkataan ataupun pembicaraan baku dari bahasa Melayu yang pokoknya dari bahasa Melayu Riau sebagaimana diungkapkan oleh Ki Hajar Dewantara (Bapak Pendidikan Indonesia) dalam Kongres Bahasa Indonesia I tahun 1939 di Solo, Jawa Tengah, “jang dinamakan ‘Bahasa Indonesia’ jaitoe bahasa Melajoe jang soenggoehpoen pokoknja berasal dari ‘Melajoe Riaoe’, akan tetapi jang soedah ditambah, dioebah ataoe dikoerangi menoeroet keperloean zaman dan alam baharoe, hingga bahasa itoe laloe moedah dipakai oleh rakjat di seloeroeh Indonesia; pembaharoean bahasa Melajoe hingga menjadi bahasa Indonesia itoe haroes dilakoekan oleh kaoem ahli jang beralam baharoe, ialah alam kebangsaan Indonesia“, atau sebagaimana diungkapkan dalam Kongres Bahasa Indonesia II 1954 di Medan, Sumatera Utara, “…bahwa asal bahasa Indonesia ialah bahasa Melaju. Dasar bahasa Indonesia ialah bahasa Melaju jang disesuaikan dengan pertumbuhannja dalam masjarakat Indonesia“.

Secara historis (sejarah), bahasa Indonesia merupakan salah satu perkataan maupun pembicaraan temporal dari bahasa Melayu yang struktur maupun khazanahnya sebagian besar masih sama atau mirip dengan pembicaraan-pembicaraan temporal terdahulu seperti bahasa Melayu Klasik dan bahasa Melayu Kuno. Secara sosiologis, bolehlah kita katakan bahwa bahasa Indonesia baru dianggap “lahir” atau diterima keberadaannya pada tanggal 28 Oktober 1928. Secara yuridis, baru tanggal 18 Agustus 1945 bahasa Indonesia secara resmi diakui keberadaannya. Bahasa Indonesia merupakan bahasa yang digunakan sebagai penghantar pendidikan di perguruan-perguruan di Indonesia.

Indonesia dengan luas kawasan 1.904.556 km² dan menurut banci Badan Koordinasi Keluarga Berencana Nasional (BKKBN) Pusat yang dikeluarkan tanggal 20 Julai 2007 menyatakan bahwa jumlah penduduk Indonesia adalah sekitar 222 juta jiwa yang berasal dari berbagai etnis. Dengan keragaman etnis dan suku, di Indonesia terdapat sekitar 706 bahasa daerah yang digunakan sebagai bahasa ibunda khususnya dalam berkomunikasi tidak resmi dengan ahli keluarga maupun masyarakat. Namun seiring dengan perkembangan zaman, ibu bapa tidak lagi peduli akan fungsi bahasa daerah sebagai bahasa ibunda yaitu bahasa yang pertama diajarkan kepada anak-anaknya dan cenderung menggantikannya dengan bahasa Indonesia. Akhirnya, bahasa Indonesia yang semula hanya digunakan untuk bertutur dengan orang yang berbeda etnik, kini mulai menjadi bahasa ibunda. Padahal, akan jauh lebih baik bagi anak jika ia bisa menguasai lebih dari satu bahasa, yakni bahasa daerah dan bahasa Indonesia, sebelum memasuki usia sekolah.

Hal tersebut pernah dikemukakan oleh Kepala Pusat Kajian Bahasa dan Budaya Universitas Katolik (Unika) Atma Jaya, Prof Dr Bambang Kaswanti Purwo, dan Kepala Pusat Bahasa Departemen Pendidikan Nasional RI, Dr Dendy Sugono di Jakarta tanggal 11 Pebruari 2003. Acara itu diadakan berkaitan dengan peringatan “Hari Bahasa Ibunda Antarabangsa” tanggal 21 Pebruari 2003. Terungkap pula bahwa pengenalan bahasa daerah kepada anak, meskipun bukan sebagai bahasa ibunda sangat penting agar anak tidak tercerabut dari akar sosial budaya yang juga dimiliki orang tuanya. Tidak dipungkiri bahwa banyak dimensi budaya yang tidak bisa diserap atau dijelaskan dengan bahasa Indonesia sehingga apabila ingin memahaminya, tetap harus menguasai bahasa daerah.

Menurut Bambang Kaswanti Purwo, laju kepunahan bahasa di Indonesia sebagai negara kedua di dunia yang memiliki bahasa paling banyak yaitu 706 bahasa setelah Papua Nugini yaitu 867 bahasa cukup memprihatinkan. Dari jumlah tersebut, ada 109 bahasa (di luar Papua) yang punya penutur kurang dari 100.000 orang, seperti Tondano di Sulawesi, Tanimbar di Nusa Tenggara, Ogan di Sumatera Selatan, serta Buru di Maluku.

“Malahan ada satu bahasa di Nusa Tenggara Timur, yakni Maku’a, yang jumlah penuturnya tinggal 50 orang. Hampir separuh dari bahasa di Indonesia tersebar di wilayah Papua dan sangat terancam kepunahannya karena jumlah penutur terus berkurang.”

Sementara itu, berdasarkan data UNESCO, setiap tahun, ada 10 bahasa di dunia yang punah dan di era yang serba modern ini diperkirakan laju kepunahan bahasa akan lebih cepat lagi. Satu abad lalu, tercatat ada lebih dari 6.000 bahasa di dunia. Kini hanya tinggal 600 hingga 3.000 bahasa, hampir separuhnya memiliki penutur kurang dari 10.000 orang, dan seperempatnya lagi kurang dari 1.000 orang.

“Padahal, salah satu syarat bagi upaya pelestarian bahasa adalah jika penuturnya mencapai 100.000 orang.”

Sementara itu, Dendy Sugono berpendapat agar bahasa daerah tetap lestari namun anak-anak juga menguasai bahasa Indonesia agar berwawasan nasional, setiap keluarga hendaknya memperkenalkan bahasa daerah dan bahasa Indonesia secara bersamaan kepada anak-anaknya sejak usia dini.

“Bahasa daerah sebagai kekayaan budaya dan menjadi jati diri bangsa dan bahasa Indonesia sebagai alat pemersatu bangsa harus dilestarikan secara seimbang”.

Menurut Dendy, orang tua hendaknya menyadari hal itu agar anak tidak tercerabut dari akar sosial-budayanya, namun tetap berwawasan nasional. Mereka harus memperkenalkan bahasa daerah dan bahasa Indonesia kepada anak-anak sejak usia dini. Hal itu penting sebab di satu sisi anak perlu memahami budayanya dengan menguasai bahasa daerah, di sisi lain dia juga harus mampu menyerap pengetahuan yang dipelajarinya dengan bahasa Indonesia.

Berdasarkan penelitian, Dendy menjelaskan anak yang mengenal dua bahasa atau lebih (multilingualisma) akan memiliki kepribadian yang lebih baik dibanding dengan anak yang hanya mengenal satu bahasa saja (monolingualisma). Mereka akan lebih toleran dan santun, mudah menghargai perbedaan pendapat, serta berwawasan lebih luas. Selain itu, semakin banyak orang yang menyadari pentingnya menguasai multilingualisma akan berdampak positif pula pada upaya pelestarian bahasa ibunda.

Catatan:
cukup jelas yang tertera di atas , bahwa sesungguhnya bahasa ibunda atau yang biasa di sebut dengan bahasa daerah lambat laun akan semakin punah.